بررسی نتایج شبیه سازی در Vivado

با انتخاب گزینه Dump Trace و زبان Verilog در پنجره تظیمات مجدداً شبیه سازی RTL را اجرا کنید.

۱- از نوار ابزار یا منوی Solution گزینه Run C/RTL Co-simulation را انتخاب کنید. پنجره‌ تنظیمات شبیه سازی RTL باز می‌شود و می‌توانید شبیه سازی مورد نظرتان را اجرا کنید.

۲- زبان Verilog را برای شبیه سازی RTL انتخاب کنید. در بخش Simulator Section گزینه Auto را انتخاب کنید تا Vivado Simulator به صورت پیش فرض استفاده شود. در صورت تمایل می‌توانید سایر ابزارهای شبیه سازی را نیز انتخاب کنید.

۳- از لیست کشویی در فیلد Dump Trace گزینه all را انتخاب کنید و روی Ok کلیک کنید.

(تنظیم Verilog و Dump trace در پنچره تنظیمات شبیه سازی RTL)

با پایان کار ارزیابی طرح RTL ، نتیجه شبیه سازی به صورت اتوماتیک در صفحه Information ظاهر می‌شود و موفقیت شبیه سازی با کلید واژه Passed تأیید می‌شود. علاوه بر این مقدار پارامترهای Latency و Interval هم گزارش می‌شود. از آنجایی که در پنجره تنظیمات گزینه Dump Trace را انتخاب شده بود، دو فایل جدید در پوشه شبیه سازی verilog تولید و در صفحه Explorer ظاهر شده‌ است. با استفاده از این دو فایل می‌توانید نتایج شبیه سازی را در محیط Vivado Simulator بررسی کنید.

(صفحه explorer بعد از اجرای شبیه سازی RTL)

(مقایسه نتایج شبیه سازی)

برای آنالیز شکل موج‌ها در Vivado Simulator به ترتیب زیر عمل کنید.

۱- روی گزینه Open Wave Viewer در نوار ابزار کلیک کنید. با این کار محیط توسعه Vivado 2018.2 فراخوانی می‌شود و پنجره Wave Viewer‌ در Vivado Simulator باز می‌شود.

۲- روی کلید Zoom Fit‌ در نوار ابزار کلیک کنید تا تمام شبیه سازی در صفحه قابل نمایش شود (تغییر تصویر)

۳- در پنجره Waveform روی a_address0 راست کلیک کنید و با انتخاب گزینه Radix > Unsigned Decimal از فرم دسیمال برای نمایش اعداد استفاده کنید. این کار را برای سیگنال‌های b_address0 و res_address0 تکرار کنید.

۴- مشابهاً برای سیگنال‌های a_q0 ، b_q0 و res_d0 گزینه Radix > Signed Decimal را انتخاب کنید. نتیجه پایانی در پنجره Waveform مشابه شکل زیر خواهد بود.

(پنجره Waveform در Vivado Simulator)

همانطورکه ملاحظه می‌کنید، به محض فعال شدن سیگنال ap_start ، سیگنال ap_idle غیر فعال می‌شود و اعلام می‌کند که بلوک در حالت اجرای محاسبات قرار گرفته است. سیگنال ap_idle تا زمانی که سیگنال ap_done فعال نشود، غیر فعال باقی می‌ماند. با فعال شدن ap_done پایان محاسبات دقیقاً بعد از ۷۹ کلاک تأخیر (latency) اعلام می‌شود.

۵- از ثانیه ~160 تا ‍~550 روی صفحه Waveform کمی زوم کنید.

(پنجره Waveform در Vivado Simulator بعد از زوم کردن)

مشاهده می‌کنید که با تعیین آدرس روی باس‌های a_address0 و b_address0 و همینطور فعال شدن سیگنال‌های a_ceo و b_ceo طرح آماده دریافت ورودی می‌شود و نتایج نهایی با استفاده از سیگنال‌های res_d0 ، res_we0 و res_ce0 خروجی می‌شود.

۶- برای درک کامل موضوع بخش‌های مختلف شبیه سازی و شکل موج‌ها را بررسی کنید و سعی کنید رفتار طرح و شیوه کار آن‌ها را درک کنید.

۷- وقتی کارتان به اتمام رسید، با انتخاب گزینه Exit از منوی File بدون اینکه هیچ یک از تغییرات را ذخیره کنید، از محیط Vivado خارج شوید.

اسکرول به بالا