ابزارهای طراحی

تبدیل فوریه در FPGA با بکارگیری Xilinx FFT IP Core

تبدیل فوریه در FPGA با Xilinx FFT IP Core

الگوریتم FFT به کلاسی از الگوریتم‌های پردازش سیگنال اطلاق می‌شود که می‌توانند به شکل بهینه تبدیل فوریه گسسته (DFT) یک دنباله را محاسبه کند.

طراحی فیلتر FIR با ابزار System Generator for DSP

پیاده سازی فیلتر FIR در Vivado

با دروازه ورود به دنیای پردازش سیگنال در FPGA از طریق فراگیری الفبای پیاده سازی فیلتر FIR در Vivado تنها چند قدم فاصله دارید.

آموزش سریع Vivado HLS

قسمت ششم: آنالیز طرح در Vivado HLS

در این ویدئو به آنالیز و بهینه سازی طرح می‌پردازیم. یکی از بهترین راه‌های یادگیری شیوه آنالیز طرح در Vivado HLS استفاده از مثال‌های کاربردی و مرور قابلیت‌های نمایش Analysis Perspective‌ است.

اسکرول به بالا