آموزش FPGA

نمایش اعداد علامت دار در FPGA

نمایش اعداد علامت دار در FPGA

در سیستم‌های دیجیتال اعداد تنها با استفاده از دنباله‌ای از بیت‌ها قابل نمایش هستند. سه روش کلی برای نمایش اعداد منفی در این سیستم‌ها وجود دارد. که روش علامت اندازه، روش مکمل یک و روش مکمل دو نام دارند.

پیاده سازی تابع لگاریتم در FPGA

پیاده سازی تابع لگاریتم در FPGA

به طور کلی پاسخ عبارت لگاریتم در مبنای دو هر عدد از دو بخش صحیح و اعشاری تشکیل شده است که باید به صورت جداگانه محاسبه شوند و در نهایت باهم جمع شوند. برای محاسبه لگاریتم در سایر مبناها نیز از همین الگو استفاده می‌شود.

جریان طراحی در FPGA

جریان طراحی در FPGA

اولین درسی که در دوره های مقدماتی FPGA ارائه می شود، آشنا کردن دانشجویان با گام های پیاده سازی است. در ادامه فهرستی از گام های مورد نیاز برای اجرای صفر تا صد یک پروژه روی FPGA توضیح داده می شود.

بلوک‌های منطقی قابل پیکره‌بندی، مهمترین عنصر پیاده‌سازی بلوک‌های منطقی قابل پیکره‌بندی، مهمترین عنصر پیاده‌سازی

بلوک‌های منطقی قابل پیکره‌بندی، مهمترین عنصر پیاده‌سازی

بلوک های منطقی قابل پیکره بندی وظیفه اصلی پیاده سازی توابع منطقی با هر نوع پیچیدگی را بر عهده دارند، بلوک منطقی برنامه پذیر در عمل مهمترین عناصر پیاده سازی هستند.

بلوک‌های UltraRAM‌ در تراشه‌های +UltraSclae

مفهوم حافظه در FPGA و کاربردهای آن

حافظه ها یکی از مهمترین منابع درون تراشه FPGA هستند و بدون آن ها جریان طراحی به شکلی که امروزه انجام می شود، امکان پذیر نبود، حافظه ها درون FPGA به دو دسته تقسیم می شوند.

اسکرول به بالا